d` ".text 7 P`.data` @`.bssP.rdata4@P@H(HH PH H(HH\$hHt$pH|$xH$HHHHtH- H9H=H:H\$(D$ L (AH;H8HHHH =H- H>H=H?HHHHHH @HLLHHHL@8H|$PD$HD$@ H0HD$8D$0D$(HH@@HD$ HD$@ H|$8D$0vH\$(D$ L 8AH\$hHt$pH|$xH$HĈHhH\$HHt$PH|$XHl$`HHH}tsH 1Hh LG8H\$0HD$( HHHD$ A HD$ AAHHTH= H/HH1H1Hh D$ AAHL H\$0HD$( H@HD$ A HCЅuH 51H 2H(HHHEH\$HHt$PH|$XHl$`HhHhH\$HHt$PH|$XHl$`HH H>t?H *HHA8HD$0P8ЅH- H'H=H(HD$ AAHH)H *H8 'HBHH\$HHt$PH|$XHl$`HhÅt3HD$0@HT$0D$ AAD$0HXH\$0Ht$8H|$@Hl$HLd$PHH H>t?H "H(D$ AAHH- HH=HH(D$ AAHHL%AHHD$ AAHAH!H@ H!HH\$0Ht$8H|$@Hl$HLd$PHXÐ*xisim/testbench_isim_beh.exe.sim/work/m_00000000000564129032_1949178628.didatwork_m_00000000000564129032_1949178628D:/Xilinux/Lab3/Lab3_1/testbench.vTrace register $t0$t0 (REG8) = %x!_n/:l2=c|-=HS \g JPal~!!CIUd! (8.fileg( _pe.4964U* _ng0 _ng4(_ng60_ng58c _ng1H_ng2_ng3@q  .text7.dataP.bss.rdata)Ddm_00000000000564129032_1949178628.c_work_m_00000000000564129032_1949178628_init_Initial_56_3_Initial_47_2_Initial_39_1_Initial_28_0__imp__xsi_register_didat__imp__xsi_register_executes__imp__xsi_set_current_line__imp__xsi_vlogfile_write__imp__xsi_vlog_generic_get_array_select_value__imp__xsi_vlog_signed_add__imp__xsi_vlogvar_assign_value__imp__xsi_vlog_signed_less__imp__xsi_vlog_stop__imp__xsi_process_wait