2 write_buffer - fifo (D:/Xilinux/Lab4/UART/fifo.v) 0 0 000000ff00000000000000010000000100000000000000000000000000000000020200000001000000010000006400000101000000020000000000000000000000000200000064ffffffff000000810000000300000002000001010000000100000003000000000000000100000003 true write_buffer - fifo (D:/Xilinux/Lab4/UART/fifo.v) 1 Design Utilities 0 0 000000ff00000000000000010000000100000000000000000000000000000000000000000000000125000000010000000100000000000000000000000064ffffffff000000810000000000000001000001250000000100000000 false 1 0 0 000000ff0000000000000001000000000000000001000000000000000000000000000000000000028e000000040101000100000000000000000000000064ffffffff000000810000000000000004000000420000000100000000000000240000000100000000000000660000000100000000000001c20000000100000000 false test_uart_rx.v 1 work 0 0 000000ff00000000000000010000000000000000010000000000000000000000000000000000000109000000010001000100000000000000000000000064ffffffff000000810000000000000001000001090000000100000000 false work 1 Configure Target Device Design Utilities Implement Design User Constraints 0 0 000000ff00000000000000010000000100000000000000000000000000000000000000000000000125000000010000000100000000000000000000000064ffffffff000000810000000000000001000001250000000100000000 false 2 test_uart_controller (D:/Xilinux/Lab4/UART/test_uart_controller.v) 4 0 000000ff00000000000000010000000100000000000000000000000000000000020200000001000000010000006400000122000000020000000000000000000000000200000064ffffffff000000810000000300000002000001220000000100000003000000000000000100000003 true test_uart_controller (D:/Xilinux/Lab4/UART/test_uart_controller.v) 1 Design Utilities 0 0 000000ff00000000000000010000000100000000000000000000000000000000000000000000000125000000010000000100000000000000000000000064ffffffff000000810000000000000001000001250000000100000000 false 1 Simulate Behavioral Model 0 0 000000ff00000000000000010000000100000000000000000000000000000000000000000000000125000000010000000100000000000000000000000064ffffffff000000810000000000000001000001250000000100000000 false Simulate Behavioral Model 000000ff00000000000000020000011b0000011b01000000050100000002 Behavioral Simulation